首页 > 精品范文库 > 1号文库
基于FPGA的三层电梯设计
编辑:清幽竹影 识别码:10-1136717 1号文库 发布时间: 2024-09-12 22:32:43 来源:网络

第一篇:基于FPGA的三层电梯设计

《数字电子技术》课程设计

题目:基于FPGA的三层电梯设计

学 院: 工学院

专业班级: 通信工程11秋2班 学生姓名:

学 号: 11032202 小组成员:

指导教师:

完成日期 202_年 12月

目录 课题背景.....................................................1

1.1 课题的作用和意义.......................................1 1.2 国内外的现状和发展趋势等情况...........................1 2 Quartus II软件、FPGA硬件介绍................................2

2.1 QuartusII软件介绍......................................2

2.1.1 Quartus II对器件的支持...........................2 2.2 FPGA硬件介绍...........................................3

2.2.1 FPGA基本简介.....................................3 2.2.2 FPGA的优点.......................................3 设计思路及运行流程...........................................4

3.1 电梯主要功能...........................................4 3.2电梯运行设计思路........................................4 3.3 电梯的运行流程.........................................4 3.4 模块介绍...............................................5

3.4.1 电梯控制模块介绍..................................5 3.4.2译码器模块介绍....................................6 3.4.3 总模块介绍........................................7 各模块功能仿真图.............................................8

4.1 电梯控制模块功能仿真...................................8 4.2 译码模块功能仿真.......................................9 5 实物展示....................................................10 6 心得体会....................................................12 7 谢 辞.......................................................13 参考文献......................................................14 附录一........................................................15 附录二........................................................23

《数字电子技术》课程设计 课题背景

1.1 课题的作用和意义

电梯作为高层大厦的主要垂直交通工具,电梯系统服务质量和效率的提高对建筑的有效利用和性能发挥将产生极为重要的影响。信息化时代的到来,推动了电梯的发展,电梯控制器已成为当今世界性开发的热点,也是各国综合实力的表现。电梯系统作为建筑楼宇自动化的重要组成部分,也要求向满足大厦中大量人流、物流的垂直输送需要,电梯制造商利用先进的技术,开发出各种高性能的电梯系统以满足乘客生理和心理要求,实现高效的垂直输送。1.2 国内外的现状和发展趋势等情况

随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展.一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统、FPGA控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。

《数字电子技术》课程设计

Quartus II软件、FPGA硬件介绍

2.1 QuartusII软件介绍

QuartusII是Altera公司继Max+plusII之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍的是QuartusII8.0版。Quartus II软件的设计流程为:设计输入、综合和编译、适配器、仿真、下载。Max+plusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。2.1.1 Quartus II对器件的支持

QuartusII支持Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。支持MAX II CPLD系列、Cyclone系列、CycloneII、StratixII系列、StratixGX系列等。支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,QuartusII通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可完成从设计输入到硬件配置的完整PLD设计流程。

《数字电子技术》课程设计

2.2 FPGA硬件介绍 2.2.1 FPGA基本简介

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是专用集成电路中一种半定制电路。具克服了传统可编程器件数有限的缺点,又具有可编程的特点。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。2.2.2 FPGA的优点

目前的FPGA已远超出先前产品的基本功能,并且整合了许多常用功能(如RAM、时钟管理和DSP),在新型的的系统级可编程逻辑器件中还可以以IP核的形式来进行功能设计,或许只需要一块FPGA芯片就可以实现所有功能,成为片上系统。FPGA运行速度快,内部集成锁项环,可以把外部时钟倍频,核心频率可以到几百M,克服了单片机在高速场合中运行速度低的缺点。

《数字电子技术》课程设计 设计思路及运行流程

3.1 电梯主要功能

(1)每层电梯处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。(2)设有电梯所处位置指示装置及电梯运行情况指示。(3)电梯的初始状态为第一楼层。3.2电梯运行设计思路

实现此系统分为三个模块,分别为总控制模块、电梯位置显示的译码模块,及电梯运行状态显示的译码模块。

我们通过开发板中的开关模拟电梯外部和内部的楼层上升及下降请求,由数码管显示电梯的位置状态及所在楼层层数。通过LED灯的亮与灭表示电梯的开门状态。例:从1楼上至2楼,即需要将开发板中代表1楼上升请求及3楼停止请求的开关拨上,则数码管显示01→02。3.3 电梯的运行流程

电梯的请求信号划分为上升信号和下降信号。电梯收到请求信号后,都必需做预操作。使电梯进入预上升状态的请求信号就是上升,得到上升和下降及所到楼层的请求后作出相应的动作实现。以下为电梯在各楼层时的状态:

当电梯在一楼时,不管电梯内部还是外部,电梯只能收到上升请求信号,此时电梯进入预上升状态,准备上升,如果没有收到上升请求,则电梯待在一楼。

当电梯在二楼时,如电梯外部内部均没有收到任何请求,电梯将返回一楼待机;如电梯接收到上升请求信号,则进入预上升状态;如电梯接收到下降请求信号,电梯进入预下降状态。当电梯在三楼时,电梯只能接收到下降请求信号,此时电梯进入预下降状态,准备下降。流程图如(图3.1)所示

《数字电子技术》课程设计

图3.1电梯运行流程图

3.4 模块介绍

3.4.1 电梯控制模块介绍

控制模块代码见(附录一),原理图如(图3.2)具体引脚分配见(附录二)

图3.2 电梯顶层模块

《数字电子技术》课程设计

开关输入引脚:

“REST”是复位开关,实现电梯无请求时手动复位至1楼的功能; “LIFTCLK”是时钟脉冲;

“F1UPBUTTON”是1楼上升的请求开关,实现产生1楼有上升请求; “F2UPBUTTON”是2楼上升的请求开关,实现产生2楼有上升请求; “F2DNBUTTON”是2楼下降的请求开关,实现产生2楼有下降请求; “F3DNBUTTON”是3楼下降的请求开关,实现产生3楼有下降请求;

“STOP1~3BUTTON”是1~3楼停止的请求开关,实现产生到1~3楼时停止的请求; 数码管输出引脚:

“jm[6..0]”是电梯所在位置显示引脚; “led[6..0]”是电梯上升下降状态显示。LED灯输出引脚:

“DOORLIGHT”时开门灯显示引脚。3.4.2译码器模块介绍

(1)楼层上升及下降状态译码器模块,楼层上升及下降状态显示如(图3.3):

图3.3上升及下降状态显示译码模块

“SEG”表示输入一个1位二进制数0或1;

“Q3[6..0]”引脚分别输出7位二进制:0000001和1001111即显示为0和1。(2)楼层楼层位置显示译码器模块原理图如(图3.4):

《数字电子技术》课程设计

图3.4楼层楼层位置显示译码器模块

SEG[2..1]表示输入一个2位二进制数01、10、11;

“ Q3[6..0]”引脚分别输出7位二进制: 1001111显示为1,0010010显示为2,0000110显示为3。3.4.3 总模块介绍

图3.5 电梯主控系统和译码器模块连接图

控制模块的下降信号输出作为运行状态译码模块的输入,控制模块的位置输出作为电梯位置显示译码模块的输入。

《数字电子技术》课程设计 各模块功能仿真图

4.1 电梯控制模块功能仿真

图4.1电梯控制模块功能仿真

上图表示:电梯从1楼上至3楼再下至2楼的情况 波形说明:

步骤1:给予2楼上升请求一个脉冲 步骤2:给予3楼停一个脉冲 步骤3:给予2楼上升请求一个脉冲 步骤4:

下降输出信号UDSIG在电梯响应下降至2楼时为1表示下降其余为0上升; 开门灯DOORLIGHT在1楼和到3楼及2楼时为1表示亮; 电梯位置显示POSITION相应显示1→2→3→2。以上仿真与所要求情况一致。

《数字电子技术》课程设计

4.2 译码模块功能仿真

图4.2译码器功能仿真

上图表示:(译码显示的是数码管的引脚,低电平为亮,高电平为不亮)Jm分别为:1001111→0010010→0000110→0010010即1→2→3→2符合电梯所在位置显示。

Led分别为:0000000→0000001即0→1上升到下降符合电梯运行状态。

《数字电子技术》课程设计 实物展示 电梯初始状态如图(5.1)

图5.1电梯初始状态

说明:上图显示01,即电梯处于1楼待上升状态。当没有任何请求时电梯则自动复位至此状态 电梯1楼上升至3楼模拟如图(5.2)

图5.2 电梯1楼上至3楼

说明:要实现电梯从1楼上升至3楼则需要F1UPBUTTON即开关SW3为高电平,STOP3BUTTON即开关SW9为高电平,同时BUTTONCLK即开关SW2为高电平,《数字电子技术》课程设计

手动拨动LIFTCLK即开关SW1一次上升一层楼。图示为电梯已经上至三楼的状态。DOORLIGHT为高电平即LED灯亮表示电梯开门。3 电梯3楼下降至1楼模拟如图(5.3a)、图(5.2b)

图5.3(a)电梯处于3楼待下降状态

说明:电梯要实现从3楼下降至1楼则需要3DNBUTTON即SW6为高电平,STOP1BUTTON为高电平,同时BUTTONCLK即开关SW2为高电平,手动拨动LIFTCLK即开关SW1一次下降一层楼。图示为电梯在3楼待下降的状态。

图5.3(b)电梯已下降至1楼的状态

说明:拨LIFTCLK两次电梯已下降至1楼的状态。DOORLIGHT为高电平即LED灯亮表示电梯开门。

《数字电子技术》课程设计 心得体会

两周的课程设计实际的操作了整套电梯控制的设计,从刚开始的开题报告,到着手编写代码、连接模块原理图、仿真、分配引脚到最后下载到开发板验证。在课程设计前都是进行某个部分的操作,经过此次整套程序下来对FPGA及VHDL语言都有了更深的认识。

开始面对着书上的大段大段代码感到恐惧,但通过之后的一点一点输入修改,特别是在代码修改中体会到了耐心及细心的重要性,例如一个标题不吻合或少个引号在句末少个分号这些看似简单甚至不起眼的符号都足以让我们头疼找半天,但是随着这些错误的逐个排解也会产生极大的喜悦感。从刚开始的看着代码什么都不懂,到最后能够看懂一点,真的有很大的收获。刚开始还算比较顺利,但在译码模块出现了许多问题,不断的仿真失败、下载失败,不断的尝试最后能够成功的实现电梯控制的基本功能是这两周来和我的队友共同努力的结果。同时还学到了再完成一项任务时应该如何与自己的队友合作完成,要有自己的想法但也要善于尝试队友的建议。不论最后的成绩如何,都是自己努力来的成果。

《数字电子技术》课程设计 谢 辞

在取得这些成果的同时当然少不了两位老师的辛苦指导,两位老师在进行其他班级正常授课的同时还要过来指导我们完成课程设计,要较于平时辛苦很多。有几次同学的代码总是修改不成功,周老师在下班的时间依旧帮助他查找错误帮忙修改。上课时不停的有人喊“老师””老师这边”,你们依旧可以耐心的为我们一一解释错误的原因并指导我们解决那些问题。

在这里衷心的感谢两位老师为我们的付出。

《数字电子技术》课程设计

参考文献

[1]朱正伟,王其红,韩学超.EDA技术及应用(第二版)[M].北京:清华大学出版社,202_.3:p315-323

《数字电子技术》课程设计

附录一

总程序模块: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY THREEFLIFT IS PORT(BUTTONCLK: IN STD_LOGIC;LIFTCLK: IN STD_LOGIC;RESET: IN STD_LOGIC;F1UPBUTTON: IN STD_LOGIC;F2UPBUTTON: IN STD_LOGIC;F2DNBUTTON: IN STD_LOGIC;F3DNBUTTON: IN STD_LOGIC;FUPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);FDNLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);STOP1BUTTON,STOP2BUTTON,STOP3BUTTON: IN STD_LOGIC;STOPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);POSITION: BUFFER INTEGER RANGE 1 TO 3;DOORLIGHT: OUT STD_LOGIC;UDSIG: BUFFER STD_LOGIC);END THREEFLIFT;ARCHITECTURE a OF THREEFLIFT IS TYPE LIFT_STATE IS(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,DOORWAIT4,UP,DOWN,STOP);SIGNAL MYLIFT: LIFT_STATE;SIGNAL CLEARUP: STD_LOGIC;SIGNAL CLEARDN: STD_LOGIC;

《数字电子技术》课程设计

BEGIN CTRLIFT: PROCESS(RESET,LIFTCLK)VARIABLE POS: INTEGER RANGE 3 DOWNTO 1;BEGIN IF RESET='1' THEN MYLIFT<=STOPON1;CLEARUP<='0';CLEARDN<='0';ELSE IF LIFTCLK'EVENT AND LIFTCLK='1' THEN CASE MYLIFT IS WHEN STOPON1=> DOORLIGHT<='1';POSITION<=1;POS:=1;MYLIFT<=DOORWAIT1;WHEN DOORWAIT1=> MYLIFT<=DOORWAIT2;WHEN DOORWAIT2=> CLEARUP<='0';CLEARDN<='0';MYLIFT<=DOORWAIT3;WHEN DOORWAIT3=> MYLIFT<=DOORWAIT4;WHEN DOORWAIT4=> MYLIFT<=DOORCLOSE;WHEN DOORCLOSE=> DOORLIGHT<='0';IF UDSIG='0' THEN IF POSITION=3 THEN

《数字电子技术》课程设计

IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(3)='1' OR(STOPLIGHT(3)='0' AND FDNLIGHT(3)='1')THEN UDSIG<='0';MYLIFT<=UP;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;END IF;ELSIF UDSIG='1' THEN IF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';

《数字电子技术》课程设计

MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(1)='1' OR(STOPLIGHT(1)='0' AND FDNLIGHT(1)='1')THEN UDSIG<='1';MYLIFT<=DOWN;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=3 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;END IF;END IF;WHEN UP=> POSITION<=POSITION+1;POS:=POS+1;IF POS<3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')

《数字电子技术》课程设计

ELSE THEN MYLIFT<=STOP;MYLIFT<=DOORCLOSE;END IF;WHEN DOWN=> POSITION<=POSITION-1;POS:=POS-1;IF POS>1 AND(STOPLIGHT(POS)='1' OR FUPLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=1 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSE MYLIFT<=DOORCLOSE;END IF;WHEN STOP=> MYLIFT<=DOOROPEN;WHEN DOOROPEN=> DOORLIGHT<='1';IF UDSIG='0' THEN IF POSITION<=2 AND(STOPLIGHT(POSITION)='1' OR FUPLIGHT(POSITION)='1')THEN CLEARUP<='1';ELSE CLEARUP<='1';CLEARDN<='1';END IF;ELSIF UDSIG='1' THEN IF POSITION>=2 AND(STOPLIGHT(POSITION)='1' OR fDNLIGHT(POSITION)='1')THEN CLEARDN<='1';

《数字电子技术》课程设计

ELSE CLEARUP<='1';CLEARDN<='1';END IF;END IF;MYLIFT<=DOORWAIT1;END CASE;END IF;END IF;END PROCESS CTRLIFT;CTRLIGHT: PROCESS(RESET,BUTTONCLK)BEGIN IF RESET='1' THEN STOPLIGHT<=“000”;FUPLIGHT<=“000”;FDNLIGHT<=“000”;ELSE

IF BUTTONCLK'EVENT AND BUTTONCLK='1' THEN IF CLEARUP='1' THEN STOPLIGHT(POSITION)<='0';FUPLIGHT(POSITION)<='0';

ELSE

IF F1UPBUTTON='1' THEN FUPLIGHT(1)<='1';

ELSIF F2UPBUTTON='1' THEN FUPLIGHT(2)<='1';END IF;END IF;IF CLEARDN='1' THEN

STOPLIGHT(POSITION)<='0';FDNLIGHT(POSITION)<='0';

ELSE

IF F2DNBUTTON='1' THEN FDNLIGHT(2)<='1';ELSIF F3DNBUTTON='1' THEN FDNLIGHT(3)<='1';END IF;END IF;IF STOP1BUTTON='1' THEN STOPLIGHT(1)<='1';

《数字电子技术》课程设计

ELSIF STOP2BUTTON='1' THEN STOPLIGHT(2)<='1';ELSIF STOP3BUTTON='1' THEN STOPLIGHT(3)<='1';END IF;END IF;END IF;END PROCESS ctrlight;END a;显示电梯上下状态的译码模块: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ssxx IS PORT(SEG: IN STD_LOGIC;Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END ssxx;ARCHITECTURE ART OF ssxx IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN '0' => Q3<=“0000001”;WHEN '1' => Q3<=“1001111”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;显示电梯所在位置的译码模块: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;

《数字电子技术》课程设计

USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY shuzi IS PORT(SEG: IN STD_LOGIC_VECTOR(2 DOWNTO 1);Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END shuzi;ARCHITECTURE ART OF shuzi IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN “01” => Q3<=“1001111”;WHEN “10” => Q3<=“0010010”;WHEN “11” => Q3<=“0000110”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;

《数字电子技术》课程设计

附录二

图9.1输入引脚分配

上图为所有模块中的输入引脚分配图。

图9.2输出引脚分配

上图为所有模块中的输出引脚分配图。

开关和数码管对应引脚及功能在3.3模块介绍中已说明。

第二篇:三层电梯实训报告

三层电梯实训报告

摘要

20世纪60年代末,为了克服传统继电器的种种应用上的缺点,人们研制出了一种先进的可编程序控制器PLC(Programmable logic Controller),由于PLC具有优良的技术性能,因此它一问世就很快得到了推广应用。随着微电子技术和计算机技术的迅速发展,PLC在工业控制领域内得到广泛的应用愈加明显。

PLC是一种基于数字计算机技术,专为在工业环境下应用而设计的电子控制装置,它采用可编程程序的存储器,用来存储用户指令,通过数字或模拟的输入/输出,完成一系列逻辑、顺序、定时、记数、运算等确定的功能,来控制各种类型的机电一体化设备和生产过程。具体来讲PLC主要具有以下的特点:(1)可靠性高,抗干扰能力强;(2)(2)编程方法简单、直观;(3)体积小、耗能低、重量轻;

(4)硬件配套齐全,用户使用方便,适应性强;(5)系统的设计/安装、调试工作量少;(6)维修工作量小、维护方便;(7)接口模块功能强、品种多。

PLC在电梯控制上的应用主要体现在它的逻辑开关控制功能。由于PLC具有逻辑运算,计数和定时以及数据输入输出的功能。在电梯控制过程中,各种逻辑开关控制与PLC很好的结合,很好的实现了对电梯的控制。

本论文是以三菱广泛应用的整体中型机FX2N-128为背景机,详细介绍其系统配置,兼顾介绍,指令系统,编程方法和控制系统设计方法,同时也介绍了模块式PLC的一些智能单元。本人毕业设计的电梯包括电梯自动运行、消防运行、PLC综合控制三个系统。论文对PLC的结构、特点、性能以及与现场控制对象的连线进行了具体的研究,并通过PLC实现了电梯的自动控制以及消防运行。通过此次毕业设计,提高了我们运用理论知识,分析、处理和解决实际问题的综合能力

第三篇:FPGA抢答器设计报告

Vb开办上海电力学院

课程设计报告

信息工程系

抢答器设计报告

一、设计目的:

本课程的授课对象是电子科学与技术专业本科生,是电子类专业的一门重要的实践课程,是理论与实践相结合的重要环节。

本课程有助于培养学生的数字电路设计方法、掌握模块划分、工程设计思想与电路调试能力,为以后从事各种电路设计、制作与调试工作打下坚实的基础

二、实验器材和工具软件:

PC机一台、QuartusII软件、DE2板。

三、设计内容:

(1)抢答器可容纳四组12位选手,每组设置三个抢答按钮供选手使

用。

(2)电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,蜂鸣器提示抢答开始,时显示器显示初始时间并开始倒计时,若参赛选手按抢答按钮,则该组指示灯亮并用组别显示器显示选手的组别,同时蜂鸣器发出“嘀嘟”的双音频声。此时,电路具备自锁功能,使其它抢答按钮不起作用。

(3)如果无人抢答,计时器倒计时到零,蜂鸣器有抢答失败提示,主持人可以按复位键,开始新一轮的抢答。

(4)设置犯规功能。选手在主持人按开始键之前抢答,则认为犯规,犯规指示灯亮和显示出犯规组号,且蜂鸣器报警,主持人可以终止抢答执行相应惩罚。

(5)抢答器设置抢答时间选择功能。为适应多种抢答需要,系统设有10秒、15秒、20秒和3O秒四种抢答时间选择功能。

四、设计具体步骤:

首先把系统划分为组别判断电路模块groupslct,犯规判别与抢答信号判别电路模块fgqd,分频电路模块fpq1,倒计时控制电路模块djs,显示时间译码电路模块num_7seg模块,组别显示模块showgroup模块这六个模块,各模块设计完成后,用电路原理图方法将各模块连接构成系统。

各模块功能及代码:

1、组别判别模块

(1)功能:可容纳四组12位选手,每组设置三个抢答按钮供选手使用。若参赛选手按抢答按钮,则输出选手的组别。此时,电路具

signal rst : std_logic;begin

h<=“0000” when(a=“000” and b=“000” and c=“000” and d=“000”)else

“0001” when(a/=“000” and b=“000” and c=“000” and d=“000”)else

“0010” when(a=“000” and b/=“000” and c=“000” and d=“000”)else

“0100” when(a=“000” and b=“000” and c/=“000” and d=“000”)else

“1000” when(a=“000” and b=“000” and c=“000” and d/=“000”)else

“0000”;process

begin

wait on clock until rising_edge(clock);

if clr='1' then

rst<='1';

g<=“0000”;

end if;

if h/=“0000” then

if rst='1' then

g<=h;

rst<='0';

end if;

end if;

end process;

end behave_groupslct;

2、犯规判别与抢答信号判别模块

(1)功能:若参赛选手在主持人按开始键之后按抢答按钮,则使该组指示灯亮并输出选手的组别,同时蜂鸣器发出响声。

选手在主持人按开始键之前抢答,则认为犯规,犯规指示灯亮并输出犯规组号,且蜂鸣器报警。

(2)原理:c[3..0]接组别判别模块的g[3..0],即此时c为按键组别的信息。go接主持人的“开始”按键。由于无论是在正常情况还是犯规情况下按下按键,都必须显示按键的组别且蜂鸣器响,所以将c的值给hex以输出按键组别,且在有按键按下(c/=“0000”)时输出fm为‘1’,否则为‘0’。若在开始之前有按键按下时,即go='0'且c/=“0000”,输出ledfg为‘1’,否则为‘0’。若在开始之后有按键按下,将c的值给led,使该组指示灯亮,开始之前led输出“0000”。

(3)程序代码:

library ieee;

use ieee.std_logic_1164.all;

entity fgqd is port(c:in std_logic_vector(3 downto 0);

go:in std_logic;

hex:out std_logic_vector(3 downto 0);

led:out std_logic_vector(3 downto 0);

ledfg,fm:out std_logic);

end fgqd;

architecture behave_fgqd of fgqd is begin);end djs;

architecture behave_djs of djs is begin

process(clock,aclr,s)

begin

if(aclr='1')then

if(s=“00”)then

q<=“01010”;

elsif(s=“01”)then

q<=“01111”;

elsif(s=“10”)then

q<=“10100”;

else

q<=“11110”;

end if;

else

if rising_edge(clock)then

if en='1' then

q<=q-1;

if(q=“00000” and grpsl=“0000”)then

time0<='1';

else

time0<='0';

end if;

end if;

end if;

end if;

end process;end behave_djs;

4、分频器模块

(1)功能:实现50MHz—1Hz的分频,为倒计时模块提供时钟。

(2)程序代码

library ieee;

use ieee.std_logic_1164.all;

entity fpq1 is port(clkin :in std_logic;

clkout:out std_logic);end fpq1;

architecture behave_fpq1 of fpq1 is constant N: Integer:=24999999;signal Counter:Integer RANGE 0 TO N;signal Clk: Std_Logic;begin

process(clkin)

begin

if rising_edge(clkin)then--每计到N个(0~n-1)上升沿,输出信号翻转一次

if Counter=N then

Counter<=0;

Clk<=NOT Clk;

else

Counter<= Counter+1;

end if;

end if;

end process;clkout<= Clk;end behave_fpq1;

5、时间显示译码器

(1)功能:将时间信息在7段数码管上显示。

(2)程序代码

library ieee;

use ieee.std_logic_1164.all;

entity num_7seg is port(c:in std_logic_vector(4 downto 0);

hex:out std_logic_vector(13 downto 0));

end num_7seg;

architecture behave_num_7seg of num_7seg is begin

with c(4 downto 0)select

hex<= “10000001000000” when “00000” ,--“0”

“10000001111001” when “00001” ,--“1”

“10000000100100” when “00010” ,--“2”

“10000000110000” when “00011” ,--“3”

“10000000011001” when “00100” ,--“4”

“10000000010010” when “00101” ,--“5”

“10000000000010” when “00110” ,--“6”

“10000001111000” when “00111” ,--“7”

“10000000000000” when “01000” ,--“8”

“10000000010000” when “01001” ,--“9”

“11110011000000” when “01010” ,--“10”

“11110011111001” when “01011” ,--“11”

“11110010100100” when “01100” ,--“12”

“11110010110000” when “01101” ,--“13”

“11110010011001” when “01110” ,--“14”

“11110010010010” when “01111” ,--“15”

“11110010000010” when “10000” ,--“16”

“11110011111000” when “10001” ,--“17”

“11110010000000” when “10010” ,--“18”

“11110010010000” when “10011” ,--“19”

“01001001000000” when “10100” ,--“20”

“01001001111001” when “10101” ,--“21”

“01001000100100” when “10110” ,--“22”

“01001000110000” when “10111” ,--“23”

“01001000011001” when “11000” ,--“24”

“01001000010010” when “11001” ,--“25”

“01001000000010” when “11010” ,--“26”

“01001001111000” when “11011” ,--“27”

来。然后就是将选出的组别锁存。将按下按键的组别赋给一内部信号“h”(没有按键按下时h=“0000”),当复位键按下时(clr=‘1’)输出g=“0000”并且将另一内部信号rst置1。当复位后(rst=‘1’)有按键按下时将h的值给输出信号g,并且将标志信号rst清零。这样就实现最快按键组别锁存功能。

六、心得体会

通过此次设计,我掌握了数字电路的设计方法,尤其是模块划分、工程设计思想与电路调试能力,都有了一定的提高。为以后从事各种电路设计、制作与调试工作打下坚实的基础。

第四篇:基于西门子PLC s7-300的三层电梯课程设计报告

目录

一、引言„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

1、设计目的„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

2、设计任务„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

3、设计内容„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

4、设计意义„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„2

二、基础知识 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„3

1、PLC的工作原理„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„3

2、STEP7的简介„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„4

三、系统的设计准备„„„„„„„„„„„„„„„„„„„„„„„„„„6

1、电梯控制示意图„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„6

2、系统流程图„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„6

四、系统的硬件设计 „„„„„„„„„„„„„„„„„„„„„„„„„9

1、PLC硬件配置说明„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„9

2、PLC的I/O地址分配表„„„„„„„„„„„„„„„„„„„„„„„„„„„„9

3、交通灯控制系统的I/O接线图„„„„„„„„„„„„„„„„„„„„„„„„„10 五、三层电梯控制系统的软件设计„„„„„„„„„„„„„„„„11

1.楼层呼叫 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„11 2.轿厢停止控制 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„12 3.电梯上下行 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„12 4.轿厢开门关门 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„13 5.楼层显示 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„15

六、软件的调试与仿真„„„„„„„„„„„„„„„„„„„„„„„„16

1.调试步骤 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„16 2.调试结果 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„16

七、总结„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„20

八、心得体会„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„21

九、参考文献„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„22

一、引言

1、设计目的

(1)熟练使用西门子公司的S7-300系列产品各基本指令和部分应用指令,根据控制要求进行PLC梯形图编程。

(2)进一步熟悉PLC的I/O连接。

(3)熟悉三层楼电梯采用轿厢内外按钮的编程方法

2、设计任务

电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操作,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。

3、设计内容

电梯处于基站,关门等运行状态时,此时按基站外呼按钮,信号经按钮传输到PLC,经PLC判断为本层开门,再将信号传输到开关门电动机。输出开门信号,电梯开门。

人进入轿厢后,经延时,电梯自动关门。也可按关门按钮,使电梯提前关门。如果轿内指令选第几层按钮,则指令经串行传输到PLC上,显示屏上的对应层发光二极管闪亮,当手离开按钮后,信号被登记,电梯开始运行。PLC核实信号后,可将运行信号传输到各个工作部位并发出运行指令。电梯开始按给定曲线运行,其给定速度信号不断与速度反馈信号比较,不断校正,使电梯运行的速度曲线尽量符合理想的运行曲线,使电梯运行平稳。

运行过程中,井道中的轿厢位置传感继电器每过一个隔磁板即核对一次运行位置,并将信号输入PLC与其中记忆的位置和旋转编码器发回的脉冲数量核对,三个信号核对无误后电梯继续运行。电梯每到一个隔磁板,门区继电器即吸合一次,层楼指示便变化一次。

运行过程中PLC里的“先行楼层”不断寻索楼层呼梯指令信号。当“先行楼层”导索到呼梯指令后,上到站钟GU或下到站钟GD发出到站钟声,经延时,电脑发出换速信号,电梯开始减速运行。当隔磁板插入平层感应器时,电梯进一步减速进入爬行。当轿厢到达平层位置后,接触器断电,电梯停止运行。制动系统工作,电梯停稳。

电梯停稳后,发出开门信号,电梯开门。经延时,关门时间到,发出关门信号,电梯又开始关门。电梯门关好后,其运行方向按轿内指令和厅外召唤与轿厢的相对位置而定。如没有任何指令,电梯就地待命。

4、设计意义

随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。

电梯就是用于高层建筑物中的固定式升降运输设备,它有一个装载乘客的轿厢,沿着垂直或倾斜角度小于15°的导轨在各楼层间运行,是垂直运行的电梯、倾斜方向运行的自动扶梯、倾斜或水平方向运行的自动人行道的总称。随着城市建设的不断发展,高层建筑不断增多,电梯在国民经济和生活中有着广泛的应用。电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。有了电梯,摩天大楼才得以崛起,现代城市才得以长高。据估计,截至202_年,全球在用电梯约635万台,其中垂直电梯约610万台,自动扶梯和自动人行道约25万台。电梯已成为人类现代生活中广泛使用的人员运输工具。人们对电梯安全性、高效性、舒适性的不断追求推动了电梯技术的进步。如今,世界各国的电梯公司还在不断地进行电梯新品的研发、维修保养服务系统的完善,力求满足人们的对现代建筑交通日益增长的需求。

二、基础知识

1、PLC的结构

PLC 实质是一种专用于工业控制的计算机其硬件结构基本上与微型计算机从结构上分,PLC分为固定式和组合式(模块式)两种。固定式PLC包括CPU板、I/O板、显示面板、内存块、电源等,这些元素组合成一个不可拆卸的整体。模块式PLC包括CPU模块、I/O模块、内存、电源模块、底板或机架,这些模块可以按照一定规则组合配置。

图2.12、PLC的工作原理

当PLC投入运行后,其工作过程一般分为三个阶段,即输入采样、用户程序执行和输出刷新三个阶段。完成上述三个阶段称作一个扫描周期。在整个运行期间,PLC的CPU以一定的扫描速度重复执行上述三个阶段。

1.输入采样阶段 在输入采样阶段,PLC以扫描方式依次地读入所有输入状态和数据,并将它们存入I/O映象区中的相应得单元内。输入采样结束后,转入用户程序执行和输出刷新阶段。在这两个阶段中,即使输入状态和数据发生变化,I/O映象区中的相应单元的状态和数据也不会改变。因此,如果输入是脉冲信号,则该脉冲信号的宽度必须大于一个扫描周期,才能保证在任何情况下,该输入均能被读入。

2.用户程序执行阶段 在用户程序执行阶段,PLC总是按由上而下的顺序依次地扫描用户程序(梯形图)。在扫描每一条梯形图时,又总是先扫描梯形图左边的由各触点构成的控制线路,并按先左后右、先上后下的顺序对由触点构成的控制线路进行逻辑运算,然后根据逻辑运算的结果,刷新该逻辑线圈在系统RAM存储区中对应位的状态;或者刷新该输出线圈在I/O映象区中对应位的状态;或者确定是否要执行该梯形图所规定的特殊功能指令。

3.输出刷新阶段

当扫描用户程序结束后,PLC就进入输出刷新阶段。在此期间,CPU按照I/O映象区内对应的状态和数据刷新所有的输出锁存电路,再经输出电路驱动相应的外设。

PLC的扫描工作过程如图2-

2、图2-3所示 :

图2.2 PLC的扫描工作过程图

图2.3 PLC的扫描周期

3、STEP7的简介

STEP7 编程软件是一个用于SIMATIC 可编程逻辑控制器的组态和编程的标准软件包。STEP7 标准软件包中提供一系列的应用工具,如:SIMATIC 管理器、符号编辑器、硬件诊断、编程语言、硬件组态、网络组态等。STEP7 编程软件可以对硬件和网络实现组态,具有简单、直观、便于修改等特点。该软件提供了在线和离线编程的功能,可以对PLC 在线上载或下载。利用STEP7 可以方便地创

建一个自动化解决方案。图2.4为创建一个自动化项目的基本步骤 图2.4 创建一个自动化项目 项目可用来存储为自动化任务解决方案而生成的数据和程序。这些数据包括:硬件结构的组态数据及模板参数;网络通讯的组态数据以及为可编程模板编制的程序。它们都被收集在一个项目下。在生成一个项目后,先插入站,然后可以组态硬件。在组态硬件时,可以借助于模板样本对可编程控制器中的CPU 及各模板进行定义,通过双击站来启动硬件组态的应用程序。一旦存储并退出硬件组态,对于在组态中生成的每一个可编程模板,都会自动生成S7/M7 程序及空的连接表。连接表可用来定义网络中可编程模板之间的通讯连接。硬件组态完成后就可为编程模板生成软件。为可编程模板编制的软件存储在对象文件夹中。对该对象文件夹称作“S7-Program”。在子菜单中,可以选择想要生成的块的类型(如:数据块,用户定义的数据类型,功能,功能块,组织块或变量表)。打开一个空的块,然后用语句表,梯形图或功能图输入程序。在完成组态,参数赋值,程序创建和建立在线连接后,可以下载整个用户程序或个别块到一个可编程序控制器。在下载完整的或部分用户程序到CPU 之前,把工作方式从RUN 模式置到STOP 模式。可以通过在线连接下载各个块或整个用户程序到RAM。当电源关断后和CPU 复位时,保存在他们上面的数据将被保留。另外,可以从可编程控制器中上载一个工作站,或从一个S7 CPU 中上载块到PG/PC。这样,当出现故障而不能访问到程序文档的符号或注释时,就可以在PG/PC 中编辑它。用于S7-300 的编程语言有:梯形图(LAD),语句表(STL)和功能块图(FBD)。LAD 是STEP7 编程语言的图形表达方式。它的指令语法与一个继电器梯形逻辑图相似:当电信号通过各个触点复合元件以及输出线圈时,梯形图可以让你追踪电信号在电源示意线之间的流动。STL 是STEP7 编程语言的文本表达方式,与机器码相似,CPU 执行程序时按每一条指令一步一步地执行。FBD 是STEP7 编程语言的图形表达方式,使用与布尔代数相类似的逻辑框来表达逻辑。STEP7 编程软件允许结构化用户程序,可以将程序分解为单个的自成体系的程序部分。从而使大规模的程序更容易理解,可以对单个的程序部分进行标准化。程序组织简化,修改更容易。系统的调试也容易了许多。在S7 用户程序中可以使用如下几种不同类型的块:组织块(OB)是操作系统和用户程序的接口。它们由操作系统调用,并控制循环和中断驱动程序的执行,以及可编程控制器如何启动。它们还处理对错误的响应。组织块决定各个程序部分执行的顺序。用于循环程序处理的组织块OB1 的优先级最高。操作系统循环调用OB1 并用这个调用启动用户程序的循环执行。功能(FC)属于用户自己编程的块。功能是“无存储区”的逻辑块。FC 的临时变量存储在局域数据堆栈中,当FC 执行结束后,这些数据就丢失了。功能块(FB)属于用户自己编程的块。功能块是具有“存储功能”的块。用数据块作为功能块的存储器(背景数据块)。传递给FB 的参数和静态变量存在背景数据块中。背景数据块(背景DB)在每次功能块调用时都要分配一块给这次调用,用于传递参数。

系统功能块(SFB)和系统功能(SFC)是STEP7为用户提供的己编程好的程序的块,经过测试集成在CPU 中的功能程序库。SFB 作为操作系统的一部分并不占用程序空间,是具有存储能力的块,它需要一个背景数据块,并须将此块作为程序的一部分安装到CPU 中。STEP7 的调用结构如下图2.5所示:

图2.5 STEP7的调用结构

三、系统的设计准备

1、电梯控制示意图

图3.1 电梯控制示意图

2、系统程序流程图

图3.2 楼层显示

图3.3 楼层呼叫

图3.4 轿厢停止控制

图3.5轿厢上下行

图3.6轿厢开关门 四、三层电梯控制系统硬件设计

1.PLC的机型选择

为了完成设定的控制要求,主要根据电梯的控制方式与输入输出点数和占用内存多少来确定PLC的机型。本系统为三层电梯,采用了级选控制方式。

根据电梯控制特点,输入信号应该包括以下几个部分:轿厢内的楼层选择按钮SB1,SB2,SB3,开门按钮SB4和关门按钮SB5,以及安装于各楼层的电梯停靠位置的三个传感器SQ1,SQ2,SQ3,平时它们为常开,当电梯运行到平层时关闭。所以输入信号共有八个。

输出信号应该包括:轿厢内呼叫指示信号三个,分别表示一层到三层的呼叫被接收,并在呼叫指令完成后,信号消失;电梯上、下行指示信号有两个,门电动机开、关指示信号两个。共需要输出信号7个。

综合输入/输出点的计算及要实现的电梯功能,使用西门子s7-300系列的CPU-315-2DP,有16个开关量输入,16点开关量输出,这样就足以满足设计要求。

2.输入输出点分配

该系统占用PLC的15个I/O口,8个输入点,7个输出点,具体的I/O分配表如下表所示

表4.1 I/O分配表

3.系统组态

图1 系统组态图

3、三层电梯控制系统的I/O接线图 五、三层电梯控制系统的软件设计

控制系统梯形图 用“新建项目”向导生成一个名为“三层电梯控制软件”的项目,采用线性化编程,控制软件用梯形图编写,OB1中的程序如下:

一、楼层呼叫

二、轿厢停止控制

三、电梯上下行

四、轿厢开门关门

五、楼层显示

六、软件的调试与仿真

1、调试步骤

软件的调试是在仿真软件中实现的,我们采用S7-PLCSM仿真软件,仿真步骤如下:

1、打开S7-PLCSM仿真软件,窗口自动出现CPU试图对象。在CPU视图对象中点击STOP小框,灵仿真PLC处于STOP模式,在选择菜单命令“PLC”/“Download”,将要下载的程序下载到仿真PLC中。

2、执行菜单命令“Insert”/“Input Variable”,创建IB字节的视图对象,用类似的方法生成输出字节QB、定时器的视图对象。点击CPU视图对象中RUN小框,将仿真PLC至于运行状态。

3、测试软件是否运行正常。

2、调试结果:

(1)调试界面如下图

(2)运行时,电梯先开门6S后关门

16(3)电梯原来在一楼,三楼呼叫

(4)电梯到大三楼后,先延时3s然后开门6s然后关门

(5)当电梯在三楼时,一楼有呼叫,电梯先向下运行到一楼,停3s后开门6s,然后关门

七、总结

在这次软件设计中遇到了很多实际性的问题,在实际设计中才发现,只有理论与实际相结合,才能更加深入的了解问题。只有了解了实际与理论知识并把它们相结合,才能解决问题。一切问题必须靠自己一点一滴的解决,而且要不断的更正以前的错误。设计是比较简单的,主要是解决程序设计中的问题,而程序设计是一个很灵活的东西,它反映了你解决问题的逻辑思维和创新能力,它才是一个设计的灵魂所在。因此大部分时间是用在程序设计上面的。

在设计过程中,总是遇到这样或那样的问题。有时发现一个问题的时候,需要做大量的工作,找很多资料,花大量的时间才能解决。但当解决了一个问题时的那种成就感是用言语无法形容的。

通过这次对停车场车位控制的PLC控制,让我了解了PLC梯形图、指令表、外部接线图有了更好的了解,也让我了解了关于PLC设计原理。同时对所学的知识得到很大的提高与巩固。经过多次的反复实验与分析,可以对电路的原理及功能更加熟悉,同时提高了设计能力与及对电路的分析能力.而且在软件的编程方面得到更到的提高,对编程能力得到加强。我想通过参加这次的课程设计,也会对自己以后的学习和工作都有很大的帮助。

八、心得体会

赵路:经过为期不长的软件设计课程,我学到了很多。刚开始做的时候,感觉好难,无从入手,自己无法将课堂上学习到的知识运用到实践。所以就先从选题开始,纠结了好长时间,最后在老师的帮助下,拟定了初步的题目和设计软件。紧接着我就开始从网上下载STEP7编程软件,下载很简单,但是安装可把我难为住啦。弄了好几天晚上才安装好,虽然花费了好长时间,但是我也收获可很多。紧接着,我去图书馆借了很多有关的书籍,开始学习S-300的指令系统,用了几天晚上设计编写程序,检查程序。中间也遇到过好多问题,但是都在队友的帮助下解决了。当程序完成后,我又学习了仿真软件,把程序进行了仿真,但看到程序在仿真软件上完整的执行成功,心情万分激动。这是我第一次自己去主动学习软件,运用软件,编写程序。我也从中间明白了:做事一定要相信自己,努力去做,你一定会成功的。而且,做事一定要认真细心,我在写程序时,犯了很多低级的错误。也造成了很多不必要的麻烦。所以,一定要仔细。

吴那:经过半个多月的艰苦奋斗,设计成果终于出来了,我才松了一口气,我通过采集资料、进行实际考察后,做出以上设计的方法。查找资料也是一件繁琐的事情,虽说网上有资料但要找到一些真正有用的资料也不是一件容易的事,需要耐心查找。比如,我在查找STEP7软件时,好多次都因为没有注意,而下载错误,不适合自己的电脑系统,浪费了很多时间。在这次设计中我学会判断、取舍相关知识,对于提高查阅资料的能力也得到了很好的锻炼。

汪倩文:通过这次设计,我对PLC设计控制有了更深入的的了解,对以前学的仅限于书本上的PLC知识又有了一定的新认识,温习了以前学的知识,同时也学习新的知识,而且在设计的过程中,我们遇到了很多的问题,通过上网采集资料、作出修改,一步步的完成设计,一次又一次的学习,我们慢慢地在体会、感悟,终于领会到结果出现时的那一份喜悦,从撰写报告,查找资料,程序设计,到整理每一个次的调试,我们学会了细心和耐心,很多次的成功与失败更加使我们有决心做好这次设计。这次设计不仅加深我对plc的认识,而且我相信这会对今后的学习工作生活有着积级的影响。而且大大提高了我的动手的能力,使我充分体会到了在创造过程中艰难和成功的喜悦。虽然这个设计还不是很完善、很简单,但是我在这次设计过程中所学到的东西是这次课程设计的最大收获,是在书本上、题目中永远也不能体会和明白的。

任帅:这次软件设计,我主要负责审核队员整理的结果,协助软件设计与调试。整个过程中,我学习到了很多。跟三个女同学一组,我学习到了女生的那种细腻,他们会注意到很多我没有注意到的,对我们这次的设计提供了很大作用。当然在审核中我也发现了很多错误,在我们共同的努力下改了过来。最后,我还负责了设计报告总体布局的设计,认真安排设计报告的排版,在排版过程中,也纠结了很久,不过,最后在同学的协助下,较完整的完成了任务。从这次设计中,我懂得了一个团队的重要性:既然我们是一个团队,那么我们就应该共同努力,共同奋斗。

九、参考文献

[1].赵岩著.S7-300/400系列PLC入门及应用实例.北京:电子工业出版社.202_年4月 [2].李明河著.可编程控制原理与应用.第一版.合肥工业大学出版社.202_年1月 [3].常斗南主编 可编程序控制器原理*应用*实验.北京:机械工业出版社,202_ [4].张万忠《可编程控制器入门与应用实例》北京:中国电力出版社202_ [5].廖常初等.PLC的顺序控制编程方法.工业自动化.1997 [6]赵景波等西门子S-300/400PLC快速入门手册.化学工业出版社.202_.5 [7]刘华波等西门子S-7300/400PLC编程与应用.202_

第五篇:三层教学楼的设计计算

三层框架结构中学教学楼的设计

根据教学楼设计规范和其它相关标准,以及设计要求和提供的地质资料,设计该框架结构教学楼。按照先建筑后结构,先整体布局后局部节点设计步骤设计。主要内容包括:设计资料、建筑设计总说明、建筑的平面、立面、剖面图设计说明,以及其它部分的设计说明;结构平面布置及计算简图确定、荷载计算、内力计算、内力组合、主梁截面设计和配筋计算、框架柱截面设计和配筋计算、次梁截面设计配筋计算、楼板和屋面设计、楼梯设计,基础设计等。其中附有风荷载作用下的框架弯矩、剪力和轴力图;纵向和横向地震荷载作用下的框架弯矩、剪力和轴力图;恒荷载和活荷载作用下的框架弯矩、剪力和轴力图以及梁柱的内力组合表。

关键词:框架、重力荷载代表值;现浇钢筋混凝土结构;内力组合;弯矩调幅。

设计理念

教学楼是为人们学习提供最为良好环境的建筑。纵观教学建筑的发展历史,无不体现 着人类文化、文明的历史进程和时代特征。教学楼建筑设计同设计其他类型建筑一样有许多共同点,也有许多不同的特点和要求。随着时代的发展,办公楼的内容和形式都在不断发生变化。因此,我对教学楼的设计过程和设计方法进行了详细研究,经过一番思考,我认为本设计应该具有以下特点:

(1)弹性。从设计、结构到服务功能都应做到开放性,以适应时空的变化。(2)紧凑性。教室以及其它辅助用房的空间布置要做到紧凑合理。(3)易接近性。从楼外到楼内,从入口到各个部门,要规划得合理,要设计一个良好的导引系统。(4)可扩展性。在未来扩展时可灵活延伸,将损失减小到低程度。(5)舒适性。在环境、通风、温湿度、光线等方面要柔和、协调,尽量借用外部的自然环境。(6)环境的稳定性。(7)安全性。建筑安全防护措施做到不仅满足规范要求而且更加人性化。(8)经济性。把建设和维护一座教学楼所需要的经费和人员控制在最低限度。

在整个设计过程中,我本着“安全,适用,经济,美观”的原则,在满足设计任务书提出的功能要求前提下,完成了建筑设计这一环节,合理的选择框架,并为以后的结构设计打下了良好的基础。

工程概况

本设计教学楼用地755方米,红线范围为50m×20m。该地段地势平坦,环境较好,在选址和环境营造方面,注意自然景色的优美,也重学习环境各交通条件的因素,更强调人与自然环境的协调统一,比较适合教学楼功能的充分利用。

根据设计资料的规划要求,本教学楼建筑要求的主要功能有:门卫室,教师休息室,大教室,小教室,多媒体教室等。设计标高:室内外高差:450mm。

墙身做法:墙身采用250厚的加气混凝土块。内粉刷为混合砂浆打底,1:0.3:3面层厚5mm, 内墙涮两度乳胶漆,外墙贴砖。

楼面做法:楼面(大理石楼面),100厚现浇钢筋砼楼板,打磨刮两遍腻子,涮两度乳胶漆。

屋面做法(上人屋面):见建筑设计部分。门窗做法:塑钢窗和木门。

平面设计

该建筑物总长度为54.3m,总宽度为34.5m,共三层,总建筑面积为4019m2,主体结构采用现浇钢筋混凝土框架结构。

使用部分的平面设计

使用房间面积的大小,主要由房间内部活动的特点,使用人数的多少以及设备的因素决定的,本建筑物为教学楼,主要使用房间为教室,各主要房间的具体设置在下表一一列出,如下表:

房间设置表

序号 房间名称 数量 单个面积 1 大教室 36 75.3 2 小教室 5 43.2 3 教师休息室 5 43.2 4 门房 1 10.8 5 储藏室 1 10.8 7 洗手间 5 43.2

目 录 21000字 目 录..1 毕业设计提纲4 绪论......7 本课程设计摘要8 1建筑设计理念及设计依据9 1.1 设计理念9 1.2工程概况.9 1.3设计依据.10 2 建筑设计.11 2.1平面设计..11 2.1.1使用部分的平面设计11 2.1.2门的宽度、数量和开启方式12 2.1.3窗的大小和位置.12 2.1.4辅助房间的平面设计.12 2.1.5交通部分的平面设计12 2.2 立面设计13 2.3 建筑剖面设计13 2.4 其它部分详细做法和说明..13 3 结构设计说明15 3.1 工程概况15 3.2 设计主要依据和资料 15 3.2.1 设计依据15 3.3结构设计方案及布置16 3.4变形缝的设置..16 3.5 构件初估 16 3.5.1 柱截面尺寸的确定16 3.5.2 梁尺寸确定 17 3.5.3 楼板厚度..17 3.6 基本假定与计算简图 17 3.6.1 基本假定..17 3.6.2 计算简图..17 3.7荷载计算 17 3.8 侧移计算及控制.18 3.9 内力计算及组合.18 3.9.1 竖向荷载下的内力计算..18 3.9.2 水平荷载下的计算 18 3.9.3 内力组合..18 3.10 基础设计 19 3.11 施工材料..19 3.12 施工要求及其他设计说明.19 4 设计计算书.20 4.1 设计原始资料.20 4.2 结构布置及计算简图 20 4.3 荷载计算..22 4.3.1 恒载标准值计算 22 4.3.2 活荷载标准值计算 24 4.3.3 竖向荷载下框架受荷总图.25 4.3.4 重力荷载代表值计算..30 4.4 地震作用计算..33 4.4.1 横向框架侧移刚度计算..33 4.4.2横向自振周期计算 36 4.4.3 横向水平地震力计算..37 4.4.4 水平地震作用下的位移验算.38 4.4.5 水平地震作用下框架内力计算 39 4.5 竖向荷载作用框架内力计算.44 4.5.1 梁柱端的弯矩计算 46 4.5.2 梁端剪力和轴力计算.57 4.6 风荷载计算..59 4.7内力组合 61 4.8截面设计..64 4.8.1 框架梁的配筋计算(仅以一层梁为例说明计算过程)..4.8.2框架柱配筋计算.67 4.8.3节点设计..71

4.9 楼板设计..72 4.9.1 B,D区格板的计算 72 第一,设计荷载..72 恒载: 72 第四,截面设计..75 4.9.2 A, C单向板计算: 76 4.10 楼梯设计(采用平行双跑楼梯)77 4.10.1踏步板计算.78 4.10.2 斜梁设计..79 4.10.3平台板设计.80 4.10.4平台梁的设计.82 4.11基础设计..84 4.11.1 独立基础设计.85 b)基底尺寸的确定..86 C)确定基础高度.87 d)基底配筋 89 4.11.2 联合基础设计..92 4.12 纵向连续梁设计 97 4.12.1 荷载计算.98 4.12.2 计算简图..98 4.12.3 内力计算.99 4.12.4 配筋计算.100 毕业设计总结..102 致谢..103 参考文献.104 框架结构(12)中学(16)教学楼(4)三层(1)

基于FPGA的三层电梯设计
TOP