首页 > 精品范文库 > 4号文库
《单片机与接口技术》实验报告1(5篇可选)
编辑:落梅无痕 识别码:13-1132288 4号文库 发布时间: 2024-09-09 11:35:08 来源:网络

第一篇:《单片机与接口技术》实验报告1

实验六D/A转换(脱机:HW10)

一、实验目的(1)了解D/A转换芯片DAC0832的性能及编程方法;

(2)了解单片机系统中扩展D/A转换芯片的基本方法。

二、实验内容

利用DAC0832芯片输出一个从0V开始逐渐升至5V再降至0V的可变电压。

三、实验步骤

四、实验现象解释

五、实验程序

第二篇:《单片机与接口技术》实验报告

《单片机与接口技术》 实 实 验 报 告

SUN

ES59PA

班 班 级:____________________ 学 学 号:____________________ 姓 姓 名:____________________ 得 得 分:____________________ 指 指 导:____________________ 日 日 期:____________________

合肥工业大学宣城校区

实验一

XXXXXXXXXXXXX

一、实验目的 二、实验 设备

三、实验内容

四、实验步骤

五、实验 现象

六、实验程序(必须带注释)

第三篇:单片机接口技术与实验课程总结

《单片机接口技术与实验》课程总结

姓名:史慧学号:年级:

专业:电气工程及其自动化

1226409016 202_级

202_年秋季学期

实验一

1.功能要求

本实验要求向芯片中写入 10 个字节,然后再读出显示。

2.硬件设计思路

P2引脚连接8LED灯,显示读出的数据。

3.软件程序流程

其中CS是控制芯片是否被选中的,也就是说只有片选信号为预先规定的使能信号时(高电位或低电位),对此芯片的操作才有效。这就允许在同一总线上连接多个SPI设备成为可能。接下来就负责通讯的3根线了。通讯是通过数据交换完成的,这里先要知道SPI是串行通讯协议,也就是说数据是一位一位的传输的。这就是SCLK时钟线存在的原因,由SCK提供时钟脉冲,SDI,SDO则基于此脉冲完成数据传输。数据输出通过 SDO线,数据在时钟上升沿或下降沿时改变,在紧接着的下降沿或上升沿被读取。完成一位数据传输,输入也使用同样原理。这样,在至少8次时钟信号的改变(上沿和下沿为一次),就可以完成8位数据的传输。

3.实验体会

SPI接口在内部硬件实际上是两个简单的移位寄存器,传输的数据为8位,在主器件产生的从器件使能信号和移位脉冲下,按位传输,高位在前,低位在后。在SCLK的下降沿上数据改变,同时一位数据被存入移位寄存器。要注意的是,SCLK信号线只由主设备控制,从设备不能控制信号线。同样,在一个基于SPI的设备中,至少有一个主控设备。这样传输的特点:这样的传输方式有一个优点,与普通的串行通讯不同,普通的串行通讯一次连续传送至少8位数据,而SPI允许数据一位一位的传送,甚至允许暂停,因为SCLK时钟线由主控设备控制,当没有时钟跳变时,从设备不采集或传送数据。也就是说,主设备通过对SCLK时钟线的控制可以完成对通讯的控制。SPI还是一个数据交换协议:因为SPI的数据输入和输出线独立,所以允许同时完成数据的输入和输出。

实验二

1功能要求

本实验要求向芯片中写入 10 个字节,然后再读出显示。2.硬件设计思路

P2引脚连接8LED灯,显示读出的数据,P1.0和P1.1分别作为24C02的SCL和SDA端,WP接地。

3.软件程序流程

单片机作为发送端时,当它发送完一字节的数据后,需要执行的语句是:SDA=1;SCL=1;SCL=0,即将SDA拉高,等待接收端发送ACK信号,当单片机作为接收端时,如果接收多个数据,那么,在每接收完一字节的数据后,都要执行以下语句:SDA=0,ACL=1;SCL=0,向等待ACK的2402发送ACK信号,如果只接受一个字节的数据,那么就不发送ACK,这个时候,就要把SDA置高,即执行语句:SDA=1 4.实验体会

SDA和SCL都是双向I/O线,通过上拉电阻接正电源。当总线空闲时,2根线都是高电平。连接总线器件的输出级必须是开漏或集电极开路,以具有线“与”功能。I2C总线上数据传诵的最高速率为100Kb/s,连到总线上器件数量仅受总线电容400pF的限制。送到SDA线上的每个字节必须为8位,每次传送的字节数不限,每个字节后面必须跟1个响应位。数据传送时,先传最高位。如果接收器件不能接收下一个字节(例如正在处理一个内部中断,在这个中断处理完前就不能接收I2C总线上的数据字节),可以使时钟保持低电平,迫使主器件处于等待状态。当从机准备好接收下一个数据字节释放SCL线后继续传送。

数据传送过程中,确认数据是必须的。认可位对应于主器件的一个时钟,在此时钟内发送器件释放SDA线,而接收器件必须将SDA线拉成低电平,使SDA在该时钟的高电平期间为稳定的低电平。

通常被寻址的接收器件必须在收到每个字节后作出响应,若从器件正在处理一个实时事件不能接收而不对地址认可时,从器件必须使SDA保持高电平,此时主器件产生一个结束信号使传送异常结束。

实验三

1功能要求

本实验要求测量温度并将温度显示。

2硬件设计思路

P2引脚连接数码管位选端,显示读出的数据。P1作为段选输出,18B20的DQ接P3.2.3.软件程序流程

程序1.1 总线复位

uchar Reset(void)

{

uchar tdq;

DQ=0;//主机拉低总线

delay480μs();//等待480μs

DQ=1;//主机释放总线

delay60μs();//等待60μs

tdq=DQ;//主机对总线采样

delay480μs();//等待复位结束

return tdq;//返回采样值

}

1-Wire总线的写操作

由于只有一条I/O线,主机1-Wire总线的写操作只能逐位进行,连续写8次即可写入总线一个字节。如程序1.2所示,当MCS-51单片机的时钟频率为12MHz时,程序中的语句_nop_();可以产生 1μs的延时,调用此函数时需包含头文件“intrins.h”。向1-Wire总线写1bit至少需要60μs,同时还要保证两次连续的写操作有1μs 以上的间隔。若待写位wbit为0则主机拉低总线60μs然后释放,写0操作完成。若待写位wbit为1,则主机拉低总线并在1~15μs内释放,然后等待60μs,写1操作完成。

程序1.2 向总线写1bit

void Writebit(uchar wbit)

{

_nop_();

//保证两次写操作间隔1μs以上

DQ=0;

_nop_();

//保证主机拉低总线1μs以上

if(wbit)

{

//向总线写1

DQ=1;

delay60μs();

}

else

{

//向总线写0

delay60μs();

DQ=0;

}

}

1-Wire总线的读操作

与写操作类似,主机对1-Wire总线的读操作也只能逐位进行,连续读8次,即可读入主机一个字节。从1-Wire总线读取1bit同样至少需要60μs,同时也要保证两次连续的读操作间隔1μs以上。如程序 1.3所示,从总线读数据时,主机首先拉低总线1μs以上然后释放,在释放总线后的1~15μs内主机对总线的采样值即为读取到的数据。

程序1.3 从总线读1bit

uchar Readbit()

{

uchar tdq;

_nop_();

//保证两次连续写操作间隔1μs以上

DQ=0;

_nop_();

//保证拉低总线的时间不少于1μs

DQ=1;

_nop_();

tdq=DQ;

//主机对总线采样

delay60μs();

//等待读操作结束

return tdq;

//返回读取到的数据

} 4.实验体会

作为一种单主机多从机的总线系统,在一条1-Wire总线上可挂接的从器件数量几乎不受限制。为了不引起逻辑上的冲突,所有从器件的1-Wire总线接口都是漏极开路的,因此在使用时必须对总线外加上拉电阻(一般取5kΩ 左右)。主机对1-Wire总线的基本操作分为复位、读和写三种,其中所有的读写操作均为低位在前高位在后。

实验四

1功能要求

本实验要求编写程序,利用 7279 及键盘显示接口电路,编程实现按键的读取,并将按键值显示在数码管上。2硬件设计思路

(1).HD7279A应连接共阴式数码管。(2).应用中,无需用到的键盘和数码管可以不连接。

(3).应用中,串入DP及SA—SG连接的8只电阻为200欧。

(4).应用中, 8只下拉电阻和8只键盘连接位选线DIG0-DIG7的电阻,应遵从一定的比例关系,典型值为10倍,下拉电阻的取值 范围是10K—100K,位选电阻的取值围是1K—10K。

(5).HD7279A需要一外接的RC振荡电路以供系统工 作,其典型值分别为R=1.5KΩ,C=15pF。

3.软件程序流程

检测到有键按下时边显示键码边执行相应的功能,如译码/不译码及消隐和闪烁属性,(循环)左移/(循环)右移,段点亮和段关闭。

4实验体会

编写功能函数方便调用,注意按键之间的实时性处理。

实验五

1功能要求

本实验要求利用实验箱产生0-5V 的模拟电压。编写程序,采集该模拟电压并将转换的结果用数码管显示。

2硬件设计思路

P2.7和RD经过或非门连接到0809的OE端,P2.7和WR经过或非门连接到0809的ALE和START端,CLK 为时钟输入信号线。因ADC0809 的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ,VREF(+),VREF(-)为参考电压输入。

3.软件程序流程

ST 为转换启动信号。当ST 上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A/D 转换;在转换期间,ST 应保持低电平。EOC 为转换结束信号。当EOC 为高电平时,表明转换结束;否则,表明正在进行A/D 转换。OE 为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE=0,输出数据线呈高阻状态。D7-D0 为数字量输出线。

4实验体会

使用总线模式,硬件电路比较复杂,还要注中断的处理和数据的处理。分析总线和IO模式的区别。

(1). ADC0809 内部带有输出锁存器,可以与AT89S51 单片机直接相连。(2). 初始化时,使ST 和OE 信号全为低电平。(3). 送要转换的哪一通道的地址到A,B,C 端口上。(4). 在ST 端给出一个至少有100ns 宽的正脉冲信号。(5). 是否转换完毕,我们根据EOC 信号来判断。

(6). 当EOC 变为高电平时,这时给OE 为高电平,转换的数据就输出给单片机了。

实验六

1功能要求

本实验要求软件编程使DAC0832 转换模块循环输出三角波。

2硬件设计思路

WR接0832的WR1和WR2,ILE接5V电源,P0-P7接到D0-D7.3.软件程序流程

unsigned char dat;dat=0x00;while(1){ for(dat=0;dat<255;dat++){ DAC0832=dat;} for(dat=255;dat>0;dat--){ DAC0832=dat;} } 以此来实现三角波。

4实验体会

DAC0832输出的是电流,一般要求输出是电压,所以还必须经过一个外接的运算放大器转换成电压。数字量的值是由每一位的数字权叠加而得的。D/A转换器品种繁多,有权电阻DAC、变形权电阻DAC、T型电阻DAC、电容型DAC和权电流DAC等。为了掌握数/模转换原理,必须先了解运算放大器和电阻译码网络的工作原理和特点。

总的实验总结

接口实验很重要的就是时序问题,每一个外围器件都有其特定的工作时序,多数情况下必须严格按照时序进行程序的编写,否则就没有结果。每个器件发送和接受数据的顺序也不一样,在编程时要注意低位在前还是高位在前,硬件电路的分配也很重要,又是程序是好使的,但电路不好用,就会浪费我们很多时间。

第四篇:《单片机原理与接口技术》课程设计教学大纲

《单片机原理与接口技术》课程设计教学大纲

课程编号:

课程英文名称:Course Design of Micro-controller Principle and Interface Technology 学时数:18

学分数:1 使用层次和专业:本科

电气工程及其自动化、电子信息工程、机械设计制造及其自动化等专业

一、课程设计的性质、目的

《单片机原理及接口技术》课程设计是在基本学完该课程之后,综合运用所学单片机知识,完成一个单片机应用系统设计,从而加深对单片机软硬知识的理解,获得初步的应用经验,为走出校门从事单片机应用的相关工作打下基础。

通过该课程设计,主要达到以下目的:

1、巩固和加深对单片机原理和接口技术知识的理解,使学生增进对单片机系统的感性认识,加深对单片机理论方面的理解,为顺利完成毕业设计打下基础。

2、使学生掌握对单片机的内部功能模块的应用,如定时器/计数器、中断、片内外存贮器、I/O口和串行口通讯等,进一步深化和巩固所学基础理论、专业知识及实验技能,培养学生综合运用所学专业知识分析问题和解决问题的能力。

3、培养学生根据课题需要选学参考书籍、查阅手册和文献资料的能力,了解与课题有关的硬件元器件的工程规范,能按课程设计任务书的要求编写课程设计说明书,学会方案论证的比较方法,初步掌握工程设计的基本方法,能正确反映设计和实验成果,能用计算机绘制电路图和流程图。使学生了解和掌握单片机应用系统的软、硬件设计过程、方法及实现,提高学生的技术应用能力,为以后设计和实现单片机应用系统打下良好基础。

4、这一环节对掌握常用仪器、仪表的正确使用方法,学会软、硬件的设计和调试方法,掌握单片机技术在生产实践中的应用,提高学生的工程实践能力、动手能力、创新能力,使学生树立正确的人生观,养成严谨、踏实的工作作风。

二、课程设计的内容

课程设计主要内容包括:理论设计、调试及写出总结报告等,其中理论设计又包括选择总体方案、硬件系统设计和软件系统设计。硬件设计包括单元电路、选择元器件及计算参数等;软件设计包括模块化层次结构图、程序流程图等。程序设计是课程设计的关键环节,通过调试,进一步完善程序设计,使之达到课题所要求的指标,使理论设计更接近于 1

实际产品。课程设计的最后要写出设计总结报告,把理论设计内容,调试的过程及性能指标的测试结果进行全面的总结,把实践内容上升到理论高度。

以下8个设计题目每组学生可任选一项: 题目一 智能交通灯控制系统设计

1、设计要求

(1)用发光二极管模拟交通信号灯,用按键开关模拟车辆检测信号。

(2)A、B两车道交叉组成十字路口,A是主车道,B是支道。正常情况下,A、B两车道轮流放行,A放行50s,B放行30s,各含5s警告时间。

(3)交通繁忙时,该系统应有手受控开关缓解交通拥挤状况,其中一车道放行期间,另一车道繁忙,可通过开关获得15s放行时间。

(4)有紧急车辆通过时,另需一开关设置两车道均禁止通行20s。

2、主要功能模块

智能交通灯控制系统主要功能模块包括电源电路、单片机主控电路、按键控制电路和道路显示电路组成。根据具体情况选择合适型号的单片机,发光二极管,开关等硬件设备进行设计。

题目二 温度控制系统设计

1、设计要求

(1)用单片机控制一个由1kw电炉加热的电烤箱,最高温度不超过120℃。(2)电烤过程恒温控制,温度可通过系统设置,误差不超过±2℃。(3)实时显示温度和设置温度,显示精确为1℃。

(4)温度超出设置温度±5℃时发超限报警,对升温和降温过程不作要求。

2、主要功能模块

温度控制系统的主要功能模块包括温度测量(温度传感器、放大器、ADC转换器)、温度控制(光电隔离、驱动电路、可控硅电路、电炉)、温度给定(按键)、温度显示和报警等几部分。根据具体情况选择合适型号的单片机,温度传感器、ADC转换器等硬件设备进行设计。

题目三 点阵LED电子显示屏的设计(第8章)

1、设计要求

(1)设计4个16×16的LED电子显示屏,能稳定、清晰地显示图形或文字。(2)图形或文字显示通过编程能实现静止、左移和右移等多种显示方式。

(3)采用动态扫描方式,保证在目测条件LED显示屏可亮度均匀地显示图形和文字,并且稳定、清晰、无串扰。

2、主要功能模块

LED电子显示屏系统的主要功能模块包括单片机主控模块、16×16的点阵显示、行驱动电路、列驱动电路、译码电路几部分。根据具体情况选择合适型号的单片机等硬件设备进行设计。题目四 密码锁设计

1、设计要求

(1)要求密码锁可以设置8位密码,每位密码值范围为1~8,用户可以自行设定和修改密码。

(2)若输入的8位开锁密码不正确,则报警5s,连续错3次要报警1分钟,报警期间输入密码无效;输入的8位开锁密码完全正确才能开锁,开锁时有1s提示音

(3)锁内有备用电池,内部上电复位时才能设置或修改密码;电磁锁的电磁线圈每次通电5s,然后恢复初态;密码键盘上只允许有8个密码按键,密码设定完毕后要有2s的提示音。

2、主要功能模块

密码锁系统主要功能模块包括主控模块、按键扫描模块、蜂鸣器、电源电路、复位电路、晶振电路、驱动电路几部分。根据具体情况选择合适型号的单片机,三极管等硬件设备进行设计。

题目五 LED点阵显示电子钟设计

1、设计要求

(1)时钟的显示由LED点阵构成。

(2)能正确显示时间,上电显示为12点,时间能够由按键调整。(3)误差小于1s。

2、主要功能模块

LED点阵显示电子钟系统主要功能模块包括主控模块、LED点阵扫描模块、电子钟模块组成。其中电子钟由显示电路、行驱动电路、列驱动电路、按键电路和复位电路、晶振电路、驱动电路几部分组成。根据具体情况选择合适型号的单片机,锁存驱动器、译码器等硬件设备进行设计。题目六 智能抢答器设计

1、设计要求

(1)设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别使用一个按钮,编号从0~7。

(2)设置一个控制开关,用来控制系统的清零和抢答开始。

(3)抢答器具有数据锁存功能、显示功能和声音提示功能。抢答开始后,有选手按动抢答按钮,锁存对应编号,并在LED数码管上显示选手的编号,同时灯亮且伴随声音提示。同时锁存电路,禁止其他选手抢答,显示编号一直保持到系统清零。

2、主要功能模块

智能抢答器系统主要功能模块包括主控模块、复位电路、电源电路、选手按键、控制开关按钮,声音提示和数码显示等部分组成。根据具体情况选择合适型号的单片机,蜂鸣器、数码管等硬件设备进行设计。题目七 比赛记分牌设计

1、设计要求

(1)启动时显示为0分,计分范围为0~100分。(2)得分时加上相应的分数,失分时剪去相应的分数。(3)刷新分数的按键按下时,伴随提示音。

2、主要功能模块

比赛记分牌系统主要功能模块包括单片机主控模块、显示模块、按键模块、电源模块等部分组成。根据具体情况选择合适型号的单片机,蜂鸣器、数码管等硬件设备进行设计。题目八 学习型红外线遥控器设计

1、设计要求

(1)适用于编码式红外线遥控型家用电器。(2)可遥控多台家用电器。(3)具有一个学习/控制复用键。

(4)可通过一个设备选择键和各个功能控制键实现对多台设备的常用功能的学习和控制。(5)成本低,抗干扰能力强。

2、主要功能模块

学习型红外线遥控器控制系统主要功能模块包括单片机主控模块、红外接收及发射电路、复位电路、按键及状态指示电路等部分组成。根据具体情况选择合适型号的单片机、一体化红外遥控接收器等硬件设备进行设计。

三、课程设计的要求

1、课程设计的组织形式:将班级分成若干组,每组3~5人,自行分工完成一个题目。

2、课程设计流程:

(1)审题、查阅相关资料,确定系统总体方案;

(2)完成硬件设计,画出硬件设计原理图(包括设备模块选择、搭接线路、计算参数等)

(3)根据所完成的任务、硬件原理图绘制系统软件框图,编制程序;

(4)系统调试,认真客观地记录和观察实验结果,对实验结果进行深入的分析,写出实验心得;

(5)撰写课程设计报告及答辩。

3、课程设计报告的编写要求:(1)设计任务书一份;

(2)硬件设计原理图一份(A4图纸);(3)设计模块说明;(4)软件调试说明;

(5)实验结果分析(包括硬件设计和软件调试分析)。

四、成绩考核方式

1、硬件设计成绩(20%);

2、软件设计成绩(20%);

3、实验调试(40%);

4、实验报告和平时成绩(20%)。

五、教材和参考文献

1、推荐教材

[1]杨居义.单片机课程设计指导.清华大学出版社.202_年9月.[2]李海滨.单片机技术课程设计与项目实例.中国电力出版社.202_年10月.2、教学参考书

[1]付家才.单片机实验与实践.高等教育出版社.202_年3月.[2]李广第.单片机基础.北京航空航天大学出版社.202_年9.[3]朱珍.单片机原理.石油工业出版社.202_年6.[4]胡锦.单片机技术实用教程.高等教育出版社

202_.6.[5]薛钧义.微机控制系统及应用.西安交通大学出版社.202_年2.[6]彭介华.电子技术课程设计指导.高等教育出版社.1999年10月.大纲制定人:李娜、吴泽、赵忠彪

大纲审定人:白政民

修订日期:202_.3

第五篇:单片机原理与接口技术教案

《单片机原理与接口技术》教案

班级:05电子(1)(2)

日期:202_.3.8

课题:单片机硬件系统 教学目的:使学生理解单片机的结构、硬件原理和工作特性等基础知识 重点难点:单片机硬件组成和数据存储区分配

第一章 单片机硬件系统一、概述

(一)单片机及单片机应用系统

单片机应用系统是以单片机为核心,配以输入、输出、显示、控制等外围电路和软件,能实现一种或多种功能的实用系统。

(二)MCS-51单片机系列

二、MCS-51单片机结构和原理

(一)单片机的内部组成及信号引脚

组成:CPU、内部RAM、内部ROM、定时/计数器、并行I/O口、串行口、中断系统、时钟电路等。

(二)内部数据存储器 1.寄存器区 2.位寻址区 3.用户RAM区

4.特殊功能寄存器区

(三)内部程序存储器

三、并行输入/输出口电路结构

组成结构:P0口、P1口、P2口、P3口

四、时钟电路与复位电路

常用晶体振荡器时钟电路(最大12MHz)、复位电路(RST引脚高电平产生复位)。

小结:单片机硬件电路和工作原理是学好本课的基础。

班级:05电子(1)(2)

日期:202_.3.22

课题:单片机开发系统 教学目的:使学生理解单片机硬件和软件调试的方法 重点难点:单片机开发软件的应用

第二章 单片机开发系统一、开发系统的功能

在线仿真、辅助调试、辅助设计、程序固化。

二、单片机应用系统的调试

调试方法:单步、跟踪、全速运行、设置断点

小结:调试方法和调试软件是单片机系统设计的必要工具。班级:05电子(1)(2)

日期:202_.3.28

课题:单片机指令系统 教学目的:使学生掌握单片机指令的含义和使用方法 重点难点:逻辑和位操作指令

第三章 MCS-51单片机指令系统一、寻址方式

包括:寄存器寻址、直接寻址、立即数寻址、寄存器间接寻址、变址寻址、相对寻址和位寻址。

二、指令系统

共111条指令。

数据传送指令(29条)

算术运算指令(24条)

逻辑运算指令(24条)

控制转移指令(17条)位操作指令(17条)

三、常用伪指令

包括:定位伪指令、定义字节伪指令、定义空间伪指令、定义符号伪指令、数据赋值伪指令、数据地址赋值伪指令、汇编结束伪指令。

小结:本章是整个课程的重点,应将使用方法以举例的方式详细讲解。

作业:3.3~3.16

班级:05电子(1)(2)

日期:202_.4.11

课题:单片机编程方法 教学目的:使学生掌握单片机汇编语言的编程方法 重点难点:分支程序、循环程序和子程序设计

第四章 MCS-51单片机汇编语言程序设计

一、简单程序设计

顺序控制程序。编程前,要分配内存工作区及有关端口地址。

二、分支程序设计

分支程序就是按照分支条件,判断程序流向,并执行。1.两分支程序设计(单入口、两出口)2.三分支程序设计

3.多分支程序设计(散转程序)

三、循环程序设计

1.单重循环程序设计

2.双重循环程序设计(延时程序设计)3.数据传送程序

4.循环程序结构(初始化、循环体、循环控制)

四、查表程序(主要用于数码管显示子程序)

表格是预先定义在程序的数据区中,然后和程序一起固化在ROM中的一串常数。

五、子程序设计与堆栈技术

1.子程序调用和返回过程 2.子程序嵌套

3.参数传递与现场保护和恢复

4.子程序结构(子程序名、子程序功能、入口参数、出口参数、占用资源)5.堆栈操作与堆栈功能

6.堆栈操作与RAM操作的比较

六、实用子程序举例

1.代码转换(二进制数转换为BCD码)2.算术运算(双字节无符号数乘法)3.查找、排序程序(冒泡排序法)

小结:汇编语言程序设计基本结构包括顺序结构、分支结构、循环结构和子程序结构等。

作业:4.1~4.16

班级:05电子(1)(2)

日期:202_.4.12

课题:定时与中断系统 教学目的:使学生掌握单片机定时/计数器与外部中断的工作原理和编程方法 重点难点:定时工作方式与中断系统原理

第五章 定时与中断系统一、定时/计数器

1.定时/计数器的结构 2.定时/计数器工作原理

3.定时/计数器的方式寄存器和控制寄存器

二、定时/计数器的工作方式

共4种定时工作方式:方式0、方式

1、方式

2、方式3。

三、定时/计数器的编程和应用

定时初值的计算方法、方式设置、控制方式设置

四、中断系统

1.中断的概念和特点 2.中断系统的结构框图 3.中断源和中断标志 4.中断处理过程

五、中断系统的应用

1.中断系统的功能(中断响应、中断处理、中断返回)2.中断请求的撤除、中断响应时间 3.外部中断源的扩展

4.定时中断控制信号灯闪烁功能的应用实例

小结:定时中断在单片机程序控制中,是很有用的,但中断的概念对初学者比较难理解,需细讲。

作业:5.4、5.5

班级:05电子(1)(2)

日期:202_.4.25

课题:单片机系统扩展 教学目的:使学生掌握单片机系统扩展的原理和相关集成电路的使用方法 重点难点:扩展电路接口与地址的控制方法

第六章 单片机系统扩展

一、程序存储器扩展

1.单片机程序存储器概述 2.EPROM程序存储器扩展实例(2732)

3.常用程序存储器类型(2716、27128、2864A)

二、数据存储器扩展

1.单片机RAM概述

2.SRAM扩展(以一片2KB静态6116为例)

三、并行I/O口扩展

1.MCS-51内部并行I/O口及其作用

单片机内部,P0口分时作为低8位地址线和数据线,P2口作为高8位地址线。2.简单的I/O口扩展

以74LS244和74LS273分别作为扩展输入和输出端口,其地址的计算方法。3.采用8255扩展I/O口

8255的结构、8255的控制字、8255与单片机的接口 4.采用8155扩展I/O口

8155的结构、I/O口的工作方式、作外部RAM的使用方法、与单片机的接口

小结:本章的重点是学习对不同扩展电路,其地址的计算方法。但要首先了解相关控制的工作原理和控制方法,据此计算地址就容易很多。

班级:05电子(1)(2)

日期:202_.5.14

课题:单片机接口技术 教学目的:使学生掌握单片机系统常用外围电路的接口技术和使用方法 重点难点:数码管显示、D/A、A/D转换接口与编程

第七章 单片机接口技术

一、单片机与键盘接口

1.键盘的工作原理(键输入原理、按键消抖的方法、按键编码方法)2.独立式按键(硬件结构和软件编程)

3.矩阵式按键(矩阵式键盘的结构、按键识别方法、键盘编码、键盘扫描的工作方式)

二、单片机与数码管显示器的接口

1.8段码数码管工作原理

2.数码管字形编码方法(共阴极与共阳极两种情况)3.静态显示接口(原理和编程方法)4.动态显示接口(原理和编程方法)

三、D/A转换器接口

1.D/A转换器的技术性能指标(分辨率、建立时间、接口形式)2.典型D/A转换器芯片DAC0832 3.单缓冲方式的接口与应用 4.双缓冲方式的接口与应用

四、A/D转换器接口

1.A/D转换的工作原理

2.典型A/D转换器芯片ADC0809 3.单片机与ADC0809接口 4.编程方法

小结:按键、LED数码管显示、A/D、D/A是单片机系统的常用外设电路,本章的学习对于提高单片机系统的设计水平很有帮助。

大作业:7.6

班级:05电子(1)(2)

日期:202_.6.20

课题:串行口通信技术 教学目的:使学生掌握单片机串行通信技术的原理和编程方法 重点难点:串行接口、程序设计

第八章 串行口通信技术

一、串行通信基础

1.串行通信的分类

(1)异步通信(字符帧、波特率)(2)同步通信

2.串行通信的制式(单工、半双工、全双工)3.串行通信的接口电路

二、串行通信总线标准及其接口

1.RS-232C接口

2.RS-449、RS-422A、RS-423A标准接口 3.20mA电流环路串行接口

三、MCS-51的串行接口

51系列单片机内部有一个可编程全双工串行通信接口,它具有UART的全部功能,该串行口有4种工作方式,帧格式有8位、10位和11位,并能设置各种波特率。

1.MCS-51串行口结构(SBUF、SCON、PCON控制字的定义)2.串行工作方式(方式0、1、2、3)3.串行口的波特率

四、MCS-51单片机之间的通信

1.双机通信硬件电路(RXD、TXD、公共地线)2.双机通信软件编程(查询方式、中断方式)3.多机通信

五、PC机和单片机之间的通信(接口设计、软件编程)

小结:串行通信在现代社会应用广泛,单片机串行通信是通信技术的基础。

作业:8.4

班级:05电子(1)(2)

日期:202_.7.4

课题:单片机应用系统的设计与开发 教学目的:使学生从总体上了解单片机应用系统的设计方法 重点难点:温度控制系统的设计

第九章 单片机应用系统的设计与开发

一、单片机温度控制系统的设计

二、单片机应用系统开发的一般方法

1.确定任务指标和目标

2.总体设计(选型、软硬件分工)

3.硬件设计(程序存储器、数据存储器和I/O口、地址译码电路、总线驱动能力、系统速度匹配、抗干扰措施)

4.软件设计(监控程序、功能程序)

5.系统调试(软件调试、硬件调试、联机调试)

三、单片机应用系统实用技术

1.低功耗设计

2.加密技术(硬件加密、软件加密)

四、抗干扰设计

1.电源、地线、传输干扰及其对策

2.硬件抗干扰措施(隔离技术、系统监控技术)

3.软件抗干扰措施(数字滤波提高数据采集的可靠性、控制状态失常的软件抗干扰措施、程序运行失常的软件抗干扰措施)

小结:单片机应用系统的设计方法,应采取软件和硬件相结合的方法,硬件设计、软件设计与调试是对系统进行完善的关键。

《单片机与接口技术》实验报告1(5篇可选)
TOP